要求:在
quartusⅱ上進行編輯、編譯、綜合、適配、**,給
出其所有訊號的時序**波形。
選擇目標器件
ep1c3
,建議選實驗電路模式
no.0
。用鍵、鍵
作為置數資料d
的輸入端,
clk接
clock0
fout
接至揚聲器
speaker
(時序仿
真時clk
週期設5ns~10ns
d分別設
33h, feh
所編寫的源程式如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dvf is
port( clk : in std_logic;
d: in std_logic_vector(7 downto 0);
fout : out std_logic);
end entity dvf;
architecture one of dvf is
signal
full: std_logic;
begin
p_reg: process(clk)
variable cnt8: std_logic_vector(7 downto 0);
begin
if clk'event and clk='1' then
if cnt8="11111111" then
cnt8:=d;
full<='1';
else cnt8:=cnt8+1;
full<='0';
end if;
end if;
end process p_reg;
8位數控分頻器的設計 實驗6 數控分頻器的設計
實驗 數控分頻器的設計 1 實驗目的 學習數控分頻器的設計 分析和測試方法。2 實驗原理 數控分頻器的功能就是當在輸入端給定不同輸入資料時,將對輸入的時鐘訊號有不同的 分頻比,數控分頻器就是用 數值可並行預置的加法計數器 設計完成的,方法是將計數溢位位 與預置數載入輸入訊號相接即可,詳細設計程式如例...
分頻器設計(一)偶分頻
目錄 一 2 n的偶分頻器設計 這種級聯觸發器的方式優缺點分析 二 任意偶數的分頻器設計 所謂 分頻 就是把輸入訊號的頻率變成成倍數地低於輸入頻率的輸出訊號。數位電路中的分頻器主要是分為兩種 整數分頻和小數分頻。其中整數分頻又分為偶分頻和奇分頻,首先從偶分頻開始吧,入門先從簡單的開始!先來看最簡單的...
pll製作分頻器 PLL中CML分頻器設計求助
小弟最近在設計乙個工作在20ghz的除2分頻器,用的是最傳統的兩個cml latch級聯的形式,管子的尺寸都很大,電流也在5 10ma左右,可以實現分頻的功能。但小弟有一點不明,從瞬態 波形上看,一開始 大概前2ns 差分對管並不是差分工作,對管柵極波形基本一致,使得整個電路在正反饋狀態,輸出為0,...