上公升沿檢測:檢測訊號從0-1的變化,檢測形式為 ~a_r & a(a為訊號當前值,a_r為訊號上次取樣值)
下降沿檢測:檢測訊號從1-0的變化,檢測形式為 a_r & ~a (a為訊號當前值,a_r為訊號上次取樣值)
按鍵消抖
module key_scan(
input [3:0] key , //輸入4個外部按鍵
input clk , //輸入時鐘
input rst_n , //輸入復位
output [3:0] flag //輸出的消抖後的按鍵值
);parameter cnt_10ms = 4'b0011 ; //假設延時4個時鐘週期對應10ms(易於功能**實現)
reg [3:0] key_n ;
reg [3:0] key_r ;
reg [3:0] cnt ; //計數器
always @ (posedge clk or posedge rst_n) // delay module
begin
if(rst_n)
begin
cnt <= 1'b0 ;
endelse if(cnt == cnt_10ms)
begin
cnt <= 1'b0 ;
endelse
begin
cnt <= cnt + 1'b1 ;
endend
always @ (posedge clk or posedge rst_n) // key input module
begin
if(rst_n)
begin
key_n <= 4'b1111;
key_r <= 4'b1111;
endelse if(cnt == cnt_10ms) //經過10ms之後把輸入的按鍵值寄存兩拍為key_r
begin
key_n <= key ;
key_r <= key_n ;
endend
assign flag = (~key_n) & key_r; //n或者r有乙個變數變化時flag就發生變化
//always@(posedge clk or negedge rst_n) // key scan module
//begin
// if(!rst_n)
// begin
// flag <= 4'b0000;
// end
// else
// begin
// flag <= ~key_n & key_r;
// end
//end
endmodule
測試檔案
module key_scan_tsb();
// port
reg clk ;
reg rst_n ;
reg [3:0] key ;
wire [3:0] flag ;
// clk
initial
begin
clk = 0 ;
forever #5 clk = ~clk;
end// rst_n
initial
begin
rst_n = 0;
#10 rst_n = 1;
#10 rst_n = 0;
end
// initial
begin
key = 4'b1111;
#20repeat(9) #2 key[0] = ~key[0];//模擬抖動
key[0] = 0;
#100
repeat(9) #2 key[1] = ~key[1];//模擬抖動
key[1] = 0;
#100
repeat(9) #2 key[2] = ~key[2];//模擬抖動
key[2] = 0;
#100
repeat(9) #2 key[3] = ~key[3];//模擬抖動
key[3] = 0;
end// inst
key_scan minst(
.clk (clk ),
.rst_n (rst_n ),
.key (key ),
.flag (flag )
);endmodule
2020 09 28jQuery之屬性過濾選擇器
基本選擇器是jquery中最常用也是最簡單的選擇器,它通過元素的id class和標籤名等來查詢dom元素。1 id選擇器 id 描述 根據給定的id匹配乙個元素,返回單個元素 注 在網頁中,id名稱不能重複 示例 test 選取 id 為 test 的元素 2 類選擇器 class 描述 根據給定...
2020 09 28 浙江大學軟體學院面試有感
浙江大學軟體學院2020面經 總的來說,我的華五夢是碎了。碎的一塌塗地。介紹一下今天的面試過程吧。我報名的軟工方向。首先是1分鐘的英文自述,然後就使用投屏進行7分鐘的演講,從個人的基本情況 科研經歷 學科競賽 綜合素質四個方面進行了介紹。我可能是為數較少的7分鐘提前結束的。首先老師問了一下我個人的學...
學習筆記 雜湊學習筆記
hash基本原理 hash就是乙個像函式一樣的東西,你放進去乙個值,它給你輸出來乙個值。輸出的值就是hash值。一般hash值會比原來的值更好儲存 更小 或比較。那字串hash就非常好理解了。就是把字串轉換成乙個整數的函式。而且要盡量做到使字串對應唯一的hash值。它的主要思路是選取恰當的進製,可以...