源**:
module example_1(
input clk,
input [7:0] a_in,
output [7:0] b_out
);reg [7:0]b_out;
reg [7:0]c;
always @(posedge clk)
begin
c<=a_in;
b_out<=c;
endendmodule
激勵檔案:
module example_1tb(
);reg clk;
reg [7:0] a;
wire [7:0] b;
integer i;//陣列座標
reg [7:0] st[1:32];//陣列形式儲存
initial
begin
$readmemh("f:/project/example_1/b.txt",st);//°讀取檔案txt的值
i=0;
a=0;
repeat(32)//重複32次
begin
#80i=i+1;
a=st[i];
endend
initial
begin
clk=0;
endalways #40 clk=~clk;
example_1 u1(.clk(clk),.a_in(a),.b_out(b));
integer fp_write;//設定文柄
initial
begin
#130;//目的使fp_write中不寫入xx。
fp_write=$fopen("f:/project/example_1/c.txt","w");
//#120;
repeat (32)//沒有**輸出寫夠32個,檔案c中是什麼都沒有。
begin
$fwrite(fp_write,"%h\n",b);//寫資料
#80;
end$fclose(fp_write);//檔案寫結束
endendmodule
txt檔案:b
11
2233
4455
6677
8899
aabb
ccdd
eeff
a1a2
a3a4
a5a6
a7a8
b1b2
b3b4
b5b6
b7b8
cc
txt輸出檔案c:
00
1122
3344
5566
7788
99aa
bbcc
ddee
ffa1
a2a3
a4a5
a6a7
a8b1
b2b3
b4b5
b6b7
b8
最終**結果: Spring Hibernate處理大批量資料
原文 關於使用spring hibernate進行大批量資料的插入和更新,它的效能和使用jdbc preparedstatement的batch批量操作以及資料庫的儲存過程操作幾乎可以一樣高。在hibernate的官方文件裡說到了batchprocessing。spring hibernate大批量...
MySQL插入大批量測試資料
執行sql drop procedure if exists proc initdata 如果存在此儲存過程則刪掉 delimiter create procedure proc initdata begin declare i int default 1 while i 10000000 do 這...
關於SXSSFWorkbook匯出大批量資料的問題
前段時間做了乙個匯出大批量資料的功能,但是由於資料過多使用sxssfworkbook會出現記憶體溢位的問題,主要有兩個地方容易溢位。1.乙個是從資料看讀取資料到記憶體時溢位,基本資料超過20w或者2m時會溢位 這個時候改 xms1024m xmx1024m xx permsize 512m xx m...