時鐘訊號:create_clock -period 2 [get_ports clk]
時鐘偏移(clock skew):同乙個時鐘訊號到達兩個不同暫存器之間的時間差值,時鐘最長路徑減去最短路徑的值
時鐘抖動:兩個時鐘週期之間存在的差值,在時鐘發生器內部產生的,和晶振或者pll內部電路有關(jitter=t2-t1)
set_clock_uncertainty -setup 0.3 [get_clocks clk]
時鐘源:
(1)數位電路裡一般用晶振提供基本的時鐘(quartz crystal osc)
(2)有些晶元的時鐘來自於其他晶元。時鐘源就是外部晶元的輸出訊號
同步時鐘:
(1)同源 ,比如分頻
(2)有固定的相位關係
aisc中的時鐘結構:
icg(門控時鐘)
occ(on chip control 與dft結合使用,將test與function模式切換)
實施TDD時的常見問題
作者amr elssamadisy譯者李劍發布於 2008年3月12日 上午1時17分 社群 agile 主題敏捷實施,單元測試 標籤測試驅動開發 如果你剛接觸tdd不久,可能一些常見的問題正在困擾著你 chad meyers寫下了一些他在開始接觸tdd實踐時碰到的 疑惑和問題,它們應該都是比較常見...
實施TDD時的常見問題
在infoq 發表的一篇文章 實施tdd時的常見問題 中,chad meyers提出了關於tdd實施的問題,如下所示 我該容忍多大限度的預先設計?你怎麼知道應該何時停止 也就是說,當人們開始討論演算法,就是該測試的時機了 對於象 我心裡清楚我們需要這個 這類東西 我們該如何處理 例如,在控制台mai...
實施TDD時的常見問題
在infoq最近發表的一篇文章 實施tdd時的常見問題 中,chad meyers提出了關於tdd實施的問題,如下所示 我該容忍多大限度的預先設計?你怎麼知道應該何時停止 也就是說,當人們開始討論演算法,就是該測試的時機了 對於象 我心裡清楚我們需要這個 這類東西 我們該如何處理 例如,在控制台ma...