管腳定義
非同步復位reset
同步使能en
同步清零clr
計數器最大值狀態指示max_tick
當前數值
源**有修改,原始資料參考:`timescale 1ns / 1ps
module mod_m_counter
#(parameter m=10 // mod 10 by default)(
input wire clk,
input wire reset,//非同步復位訊號
input wire clr,//同步清零
input wire en,
output wire max_tick,
output wire [3:0] q
);// signal declaration
localparam n = 4;
reg [n-1:0] r_reg;
wire [n-1:0] r_next;
// clk
always @(posedge clk, posedge reset) begin
if (reset) begin
r_reg <= 0;
endelse begin
r_reg <= r_next;
endend
// next-state logic
assign r_next =
(clr || ((r_reg == (m-1)) && en) )? 4'b0 :
((en)? (r_reg+1) : r_reg);
// output logic
assign q = r_reg;
assign max_tick = (r_reg == (m-1))? 1'b1:1'b0;
endmodule
fpga protoyping by verilog examples, pong p. chu, 英文版, 第95頁, 程式清單4.11
Jmeter計數器的使用
說一下jmeter中,配置元件 計數器的使用。如果需要引用的資料量較大,且要求不能重複或者需要自增,那麼可以使用計數器來實現。1 啟動jmeter,新增執行緒組,右鍵新增配置元件 計數器,如下圖 新增完成如下圖 starting value 給定計數器的起始值 初始值 遞增 每次迭代後,給計數器增加...
計數器 讓我們學會使用 CSS 計數器
我看來,css計數器在web上還沒有得到充分利用,儘管它們的支援非常好 ie8 在本文中,我將解釋如何在專案中使用css計數器,以及一些用例。計數器是css3提供的乙個強大的工具,是一種可以讓我們使用css給元素自動編號的方法。使用它可以很方便對頁面中的任意元素進行計數,實現類似於有序列表的功能。但...
計數器 通用計數器的應用價值
隨著科學技術發展,一些應用系統,如大型通訊系統,電力系統,特別是高速運動目標的跟蹤定位系統,對時間間隔的測量精度提出了越來越高的要求,同時我國對時間間隔計數器的應用掌控性,更傾向於依賴於國產裝置實現數字式頻率計的研發。雖然通用計數器測量週期誤差不可能從根本上消除,但通過對轉換誤差 量化誤差及各類誤差...